Publications (pre Georgia Tech)


Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks

Yu-Hsin Chen, Tushar Krishna, Joel Emer, and Vivienne Sze
In Proc of the IEEE International Solid-State Circuits Conference(ISSCC), Feb 2016
[abstract] | [pdf]


Efficient Control and Communication Paradigms for Coarse-Grained Spatial Architectures 

M. Pellauer, A. Parashar, M. Adler, B. Ahsan, R. Allmon, N. Crago, K. Fleming, M. Gambhir, A. Jaleel, T. Krishna, D. Lustig, S. Maresh, V. Pavlov, R. Rayess, A. Zhai, and J. Emer
In ACM Transactions on Computer Systems (TOCS), Sep 2015
[abstract] | [pdf]

Single-Cycle Collective Communication Over A Shared Network Fabric
T. Krishna and Li-Shiuan Peh
In Proc of 8th International Symposium on Networks-on-Chip (NOCS), Sep 2014
(Winner of the Best Paper Award)
[abstract] | [pdf] Acceptance Rate: 25% (21/83)


SCORPIO: 36-Core Shared-Memory Processor Demonstrating Snoopy Coherence on a Mesh Interconnect

Chia-Hsin Owen Chen, Sunghyun Park, Suvinay Subramanian, Tushar Krishna, Bhavya K. Daya, Woo-Cheol Kwon, Brett Wilkerson, John Arends, Anantha P. Chandrakasan, and Li-Shiuan Peh
In Hot Chips 26: A Symposium on High Performance Chips, Aug 2014
[abstract] | [pdf]


SCORPIO: A 36-Core Research Chip Demonstrating Snoopy Coherence on a Scalable Mesh NoC with In-Network Ordering

Bhavya K. Daya, Chia-Hsin Owen Chen, Suvinay Subramanian, Woo-Cheol Kwon, Sunghyun Park, Tushar Krishna, Jim Holt, Anantha P. Chandrakasan, and Li-Shiuan Peh
In Proc of 41st International SymposiumComputer Architecture (ISCA), Jun 2014
[abstract] | [pdf] Acceptance Rate: 18% (46/258)


SMART: Single-Cycle Multihop Traversals Over A Shared Network-on-Chip

Tushar Krishna, Chia-Hsin Owen Chen, Woo-Cheol Kwon, and Li-Shiuan Peh
In IEEE MICRO (Special Issue: Top Pics from the Computer Architecture Conferences), May/Jun 2014
[abstract] | [pdf]


Locality-Oblivious Cache Organization leveraging Single-Cycle Multi-Hop NoCs

Woo-Cheol Kwon, Tushar Krishna, and Li-Shiuan Peh
In Proc of 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Mar 2014
[abstract] | [pdf] Acceptance Rate: 22% (49/217)


Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks

Tushar Krishna, Chia-Hsin Owen Chen, Sunghyun Park, Woo-Cheol Kwon, Suvinay Subramanian, Anantha P. Chandrakasan, and Li-Shiuan Peh
In IEEE Computer, Oct 2013
[abstract] | [pdf] | [Webex Interview with Guest Editor]


SMART: A Single-Cycle Reconfigurable NoC for SoC Applications

Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, Suvinay Subramanian, Anantha P. Chandrakasan, and Li-Shiuan Peh
In Proc of Design Automation and Test in Europe (DATE), Mar 2013
[abstract] | [pdf] Acceptance Rate: 25% (206/829)


Breaking the On-Chip Latency Barrier Using SMART

Tushar Krishna, Chia-Hsin Owen Chen, Woo Cheol Kwon and Li-Shiuan Peh
In Proc of the 19th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Feb 2013
(Selected for IEEE Micro Top Pics)
[abstract] | [pdf] Acceptance Rate: 20% (51/249)

SWIFT: A Low-Power Network-On-Chip Implementing the Token Flow Control Router Architecture With Swing-Reduced Interconnects
Jacob Postman, Tushar Krishna, Christopher Edmonds, Li-Shiuan Peh, and Patrick Chiang
In IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), Aug 2012
[abstract] | [pdf]


Approaching the Theoretical Limits of a Mesh NoC with a 16-Node Chip Prototype in 45nm SOI

Sunghyun Park, Tushar Krishna, Chia-Hsin Owen Chen, Bhavya K. Daya, Anantha P. Chandrakasan, and Li-Shiuan Peh
In Proc of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC), Jun 2012
[abstract] | [pdf] Acceptance Rate: 22% (164/741)


Towards the Ideal On-chip Fabric for 1-to-Many and Many-to-1 Communication

Tushar Krishna, Li-Shiuan Peh, Bradford M. Beckmann, and Steven K. Reinhardt
In Proc of the 44th IEEE/ACM International Symposium on Microarchitecture (MICRO), Dec 2011
[abstract] | [pdf] Acceptance Rate: 21% (44/208)

A Low-Swing Crossbar and Link Generator for Low-Power Networks-on-Chip
Chia-Hsin Owen Chen, Sunghyun Park, Tushar Krishna, and Li-Shiuan Peh
In Proc of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2011
[abstract] | [pdf] Acceptance Rate: 30% (106/349)


The gem5 Simulator

N. Binkert, B. Beckmann, G. Black, S. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. Hill and D. Wood
In SIGARCH Computer Architecture News (CAN) 39 (2), May 2011
[abstract] | [pdf] | [www.gem5.org]


SWIFT: A SWing-reduced Interconnect For a Token-based Network-on-Chip in 90nm CMOS

Tushar Krishna, Jacob Postman, Christopher Edmonds, Li-Shiuan Peh, and Patrick Chiang
In Proc of the 28th IEEE International Conference on Computer Design (ICCD), Oct 2010
[abstract] | [pdf] Acceptance Rate: 29% (79/267)


Phyical vs Virtual Express Topologies with Low-Swing Links for Future Many-core NoCs

Chia-Hsin Owen Chen, Niket Agarwal, Tushar Krishna, Kyung-Hoae Koo, Li-Shiuan Peh, and Krishna C. Saraswat
In Proc of the 4th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), May 2010
[abstract] | [pdf] Acceptance Rate: 27% (32/118)


Express Virtual Channels with Capacitively Driven Global Links

Tushar Krishna, Amit Kumar, Jacob Postman, Patrick Chiang, Mattan Erez, and Li-Shiuan Peh
In IEEE MICRO (Special Issue: Top Pics from Hot Interconnects 16), Jul/Aug 2009
[abstract] | [pdf]


GARNET: A Detailed On-Chip Network Model inside a Full-System Simulator

Niket Agarwal, Tushar Krishna, Li-Shiuan Peh, and Niraj K. Jha
In Proc of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr 2009
[abstract] | [pdf] Acceptance Rate: 28% (24/86)

Texture Filter Memory – A Power-efficient and Scalable Texture Memory Architecture for Mobile Graphics Processors
B. V. N. Silpa, Anjul Patney, Tushar Krishna, Preeti R. Panda, and G. S. Visweswaran
In Proc of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2008
[abstract] | [pdf] Acceptance Rate: 26% (122/458)


NoC with Near-Ideal Express Virtual Channels Using Global-Line Communication

Tushar Krishna, Amit Kumar, Patrick Chiang, Mattan Erez, and Li-Shiuan Peh
In Proceedings of the 16th International Symposium on High-Performance Interconnects (HotI), Aug 2008
(Selected for IEEE Micro Top Pics)
[abstract] | [pdf] Acceptance Rate: 30% (19/63)